verilog仿真器 gtkwave软件 2022-10-17
小巧的Verilog 编译运行软件, 不像modelsim,vcs那么大, 使用方法是iverilog test.v vpp test.vpp.

21ic下载


勘误纠正/技术交流/采购需求/批量供应(Correction/Technical/Perchase/Wholesale)(共0条评论)
  • 暂无评论
E-mail:
AA:
Contents:
  Code: captcha